Серия кмоп. Микросхемы ттл и кмоп. Лекция. Изготовление процессоров

КМОП(комплементарная структура металл-оксид-полупроводник)- технология построения электронных схем. В более общем случае - КМДП (со структурой металл-диэлектрик-полупроводник). Отличительной особенностью схем КМОП по сравнению с биполярными технологиями (ТТЛ, ЭСЛ и др.) является очень малое энергопотребление в статическом режиме (в большинстве случаев можно считать, что энергия потребляется только во время переключения состояний)

Подавляющее большинство современных логических микросхем, в том числе процессоров, используют схемотехнику КМОП. В технологии КМОП используются полевые транзисторы с изолированным затвором с каналами разной проводимости.

В устройствах на микросхемах КМОП вполне применимы меры по борьбе с дребезгом, известные из опыта работы с микросхемами ТТЛ, например, включение статического триггера на двух элементах И-НЕ или ИЛИ-НЕ. Однако чрезвычайно высокое входное сопротивление микросхем КМОП (порядка сотен и тысяч мегаом) и относительно высокое выходное сопротивление (сотни ом - один килоом) позволяет упростить цепи подавления дребезга, исключив резисторы. Вариантом схемы является устройство, собранное всего лишь на одном неинвертирующем логическом элементе.

Здесь следует сказать несколько слов о неинвертирующих логических элементах серий КМОП. Большинство логических элементов этих серий являются инвертирующими. Как указывалось выше, микросхемы, содержащие в своем обозначении буквы «ПУ», служат для согласования микросхем КМОП с микросхемами ТТЛ. По этой причине их выходные токи при подаче на их выходы напряжения питания или соединении выходов с общим проводом в устройстве по схемам могут достигать многих десятков миллиампер, что отрицательно сказывается на надежности устройств и может служить мощным источником помех. Большое входное сопротивление микросхем КМОП позволяет в некоторых случаях обойтись вообще без активных элементов для подавления дребезга.



Наиболее перспективны серии, выполненные на комплементарных МОП-транзисторах (КМОП) (К176, К564 и др.). В них отсутствуют нагрузочные резисторы, а МОП-транзисторы с разной электропроводностью каналов выполняют роль ключей. При напряжении на затворах, большем порогового, для транзисторов с каналом определенного типа соответствующий транзистор отперт, а другой заперт. При другом значении большем порогового для транзисторов с электропроводностью противоположного типа отпертый и запертый транзисторы меняются местами. Такие структуры успешно работают при изменении в широких пределах напряжения источника питания (от 3 до 15 В), что недостижимо для логических элементов, в состав которых входят резисторы. В статическом режиме при большом сопротивлении нагрузки логические элементы КМОП практически не потребляют мощности.

Для них также характерны: стабильность уровней входного сигнала и малое его отличие от напряжений источника питания; высокое входное и небольшое выходное сопротивления; хорошая помехоустойчивость; легкость согласования с микросхемами других серий.

Логические элементы КМОП, выполняющие функцию 3 И-НЕ. В нем использованы транзисторы с индуцированным каналом. Транзисторы VT1-VT3 имеют канал -типа и открыты при напряжении затворов, близких к нулю. Транзисторы имеют канал -типа и открыты при напряжениях затворов, больших порогового значения.

При нулевом входном сигнале хотя бы на одном из входов логического элемента один из транзисторов открыт и выходное напряжение равное Е. И только в том случае, если на всех входах есть сигнал логической единицы (обычно равный Е), все транзисторы VT1 - закрыты, а ярусно включенные транзисторы открыты. Выходное напряжение равно потенциалу общей шины (логический 0). Таким образом, сочетание ярусного включения транзисторов с каналами, имеющими один тип электропроводности, и параллельного соединения транзисторов с каналами другого типа электропроводности позволили реализовать функцию И-НЕ.

Если группы ярусно и параллельно включенных транзисторов поменять местами, то будет реализован элемент, выполняющий функцию. Он работает аналогично предыдущему. Транзисторы открыты в том случае, если на их затворах логическая 1, и заперты при входных сигналах логического 0.

Из рассмотренных схем видно, что в статическом режиме один из транзисторов, включенных последовательно, всегда закрыт, а другой открыт. Так как закрытый транзистор имеет большое сопротивление, то ток в цепи определяется только малыми значениями токов утечек и микросхема практически не потребляет электрическую мощность.

В качестве базового инвертора, устанавливаемого на входе ЛЭ, обычно используется цепь. Для предотвращения пробоя пленки оксида под затворами МОП-транзисторов схему инвертора обычно дополняют диодами, выполняющими защитные функции. Постоянная времени этих компонентов около 10 не. Поэтому их введение существенно не меняет динамические характеристики логических элементов. При попадании в цепь входа статических напряжений той или иной полярности соответствующие диоды открываются и закорачивают на цепь источника питания источник статического заряда. Резистор, который вместе с барьерными емкостями диодов образует интегрирующую цепь, уменьшает скорость увеличения напряжения на затворе до значения, при котором диоды VD2, VD3 успевают открыться.

Если источник напряжения имеет малое внутреннее сопротивление, то через диод при потечет большой прямой ток. Поэтому при включении аппаратуры с подобными логическими элементами напряжение питания должно подаваться раньше входного сигнала, а при выключении - наоборот. В тех случаях, когда допустимо некоторое снижение быстродействия, в цепь входа можно включать резисторы, ограничивающие входной ток на уровне.

В ряде микросхем для увеличения крутизны передаточной функции и повышения нагрузочной способности к выходу инвертора логического элемента подключают один или два дополнительных инвертора. Транзисторы дополнительного инвертора имеют повышенную мощность. За счет них обеспечивается уменьшение сопротивлений каналов открытых выходных транзисторов инвертора с кОм до кОм. Эти значения выходных сопротивлений позволяют не вводить в выходные цепи токоограничивающие резисторы, защищающие от короткого замыкания на выходе.

В логических элементах КМОП предельно просто реализуют элементы с тремя устойчивыми состояниями. Для этого последовательно с транзисторами инвертора включают два комплементарных транзистора,управляемых инверсными сигналами. Если при подаче сигналов транзисторы закрыты, то выходное сопротивление инвертора имеет большое значение (инвертор находится в третьем высокоимпедансном состоянии).

Третье состояние имеется у отдельных микросхем, например у логических элементов типа, а также у сложных функциональных узлов серий КМОП.

Согласование логических элементов ТТЛ с логическими элементами КМОП можно выполнить несколькими способами:

1) питать логические элементы КМОП малыми напряжениями, при которых сигналы логических элементов ТТЛ переключают транзисторы логических элементов КМОП;

2) использовать логические элементы ТТЛ с открытым коллектором, в цепь выхода которых включен резистор, подключенный к дополнительному источнику напряжения;

3) применять микросхемы преобразователей уровня при согласовании серий КМОП с сериями ТТЛ и при согласовании серий ТТЛ с сериями КМОП).

При необходимости увеличить выходную мощность допускается параллельное соединение нескольких микросхем. Для подавления помех по цепи питания между шинами питания включают электролитический конденсатор емкостью и параллельно ему керамические конденсаторы емкостью на корпус. Последние подключают непосредственно к выходам микросхем. Емкость нагрузки, как правило, не должна превышать. При большем значении емкости нагрузки последовательно с выходом устанавливают дополнительный резистор, ограничивающий ток ее переразрядки. При наличии выбросов напряжения во входном сигнале последовательно с входом ЛЭ можно включить ограничительный резистор номиналом до 10 кОм. Неиспользованные входы ЛЭ следует обязательно подключать к шинам источника питания или соединять параллельно с подключенными входами. В противном случае возможны пробои диэлектрика под затвором и нарушение работоспособности вследствие сильного влияния помех.

Допускается кратковременное замыкание накоротко выходных зажимов микросхем при малом напряжении питания.

При хранении и монтаже следует опасаться статического электричества. Поэтому при хранении выводы электрически замыкают между собой. Монтаж их проводится при выключенном напряжении питания, причем обязательно использование браслетов, с помощью которых тело электромонтажников соединяется с землей.

Логические элементы КМОП-серий широко применяются при построении экономичных цифровых устройств малого и среднего быстродействия. В дальнейшем по мере усовершенствования технологии их изготовления они могут составить конкуренцию для логических элементов ТТЛ при создании быстродействующих устройств.

Обычно при конструировании пробников и калибраторов используют генераторы коротких импульсов, вырабатывающие сигнал с широким и равномерным спектром. Такой сигнал позволяет быстро проверять каскады радиоаппаратуры, как низкочастотные (НЧ), так и высокочастотные (ВЧ). Причем чем меньше длительность импульсов, тем лучше - спектр получается шире и равномернее.

Как правило, подобные генераторы состоят из двух основных узлов: собственно генератор прямоугольных импульсов и формирователь коротких импульсов. Между тем можно обойтись без специального формирователя, поскольку он уже имеется в логическом элементе микросхемы структуры КМОП.

Рассмотрим схему

Рисунок 4- RC- генератор

На рисунке 4 показан известный RC-генератор, работающий в данном случае на частоте около 1000 Гц (она зависит от номиналов деталей R1, С1). Низкочастотный сигнал прямоугольной формы поступает с выхода элемента DD1.2 (вывод 4) через цепочку R2C3 на переменный резистор R4 - им плавно регулируют амплитуду сигнала, подаваемого на проверяемый узел.

Выход же высокочастотного сигнала (коротких импульсов) выполнен несколько необычно - сигнал снимают с переменного резистора R3, включенного в цепь питания микросхемы. Перемещением движка этого резистора плавно регулируют уровень выходного высокочастотного сигнала.

Рассмотрим принцип работы такого формирователя по упрощенной схеме логического элемента структуры КМОП, показанного на рисунке 5.

Рисунок 5-упрощенная схема логического элемента структуры КМОП

Его основа - два последовательно включенных полевых транзистора с изолированным затвором и разным типом проводимости каналов. Если последовательно с транзисторами включить резистор R1, а на вход элемента подавать прямоугольные импульсы U1, произойдет следующее (рис. 3). Из-за того, что длительность фронта импульса не может быть бесконечно малой, а также из-за инерционности транзисторов, в момент действия фронта наступит такой момент, когда оба транзистора окажутся в открытом состоянии. Через них потечет так называемый сквозной ток, значение которого может составлять от единиц до десятков миллиампер в зависимости от типа микросхемы и напряжения источника питания. На резисторе будут формироваться короткие импульсы напряжения U2. Причем как в момент действия фронта, так и спада.

Иначе говоря, произойдет удвоение частоты исходных импульсов.

Сопротивление резистора не должно быть большим во избежание нарушения режима работы элементов микросхемы. Это означает, что к высокочастотному выходу можно подключать низкоомную нагрузку сопротивлением 50...75 Ом.

У рассмотренного генератора максимальная амплитуда импульсов на высокочастотном выходе составляет 100...150мВ, а потребляемый от источника питания ток не превышает 1,6 мА. Генератор рассчитан на использование при проверке усилителей ЗЧ, трехпрограммных громкоговорителей, радиоприемников на диапазонах ДВ и СВ.

структуры КМОП

Полевой транзистор - полупроводниковый прибор, через который протекает поток основных носителей зарядов, регулируемый поперечным электрическим полем, которое создаётся напряжением, приложенным между затвором и стоком или между затвором и истоком.

Так как принцип действия полевых транзисторов основан на перемещении основных носителей заряда одного типа (электронами или дырками), такие приборы ещё называют униполярными, тем самым противопоставляя их биполярным.

Полевые транзисторы классифицируют на приборы с управляющим p-n-переходом и с изолированным затвором, так называемые МДП («металл-диэлектрик-полупроводник»)-транзисторы, которые также называют МОП («металл-оксид-полупроводник»)-транзисторами, причём последние подразделяют на транзисторы со встроенным каналом и приборы с индуцированным каналом.

К основным параметрам полевых транзисторов причисляют: входное сопротивление, внутреннее сопротивление транзистора, также называемое выходным, крутизну стокозатворной характеристики, напряжение отсечки и некоторые другие.

Полевой транзистор с управляющим p-n-переходом - это полевой транзистор, в котором пластина из полупроводника, например n-типа, имеет на противоположных концах электроды (сток и исток), с помощью которых она включена в управляемую цепь. Управляющая цепь подключается к третьему электроду (затвору) и образуется областью с другим типом проводимости, в данном случае p-типом.

Источник питания, включенный во входную цепь, создаёт на единственном p-n-переходе обратное напряжение. Во входную цепь также включается и источник усиливаемых колебаний. При изменении входного напряжения изменяется обратное напряжение на p-n-переходе, в связи с чем меняется толщина обедненного слоя (n-канал), то есть площадь поперечного сечения области, через которую проходит поток основных носителей заряда. Эта область называется каналом.

Отличительной особенностью структуры КМОП по сравнению с другими МОП-структурами (N-МОП, P-МОП) является наличие как n-, так и p-канальных полевых транзисторов; как следствие, КМОП-схемы обладают более высокой скоростью действия и меньшим энергопотреблением, однако при этом характеризуются более сложным технологическим процессом изготовления и меньшей плотностью упаковки.

Для конкретной серии микросхем характерно использование типового электронного узла — базового логического элемента. Этот элемент является основой построения самых разнообразных цифровых электронных устройств.

Ниже рассмотрим особенности базовых логических элементов различных логик.

Элементы транзисторно-транзисторной логики

Характерной особенностью ТТЛ является использование многоэмиттерных транзисторов. Эти транзисторы сконструированы таким образом, что отдельные эмиттеры не оказывают влияния друг на друга. Каждому эмиттеру соответствует свой p-n-переход. В первом приближении многоэмиттерный может моделироваться схемой на диодах (см. пунктир на рис. 3.27).

Упрощенная схема ТТЛ-элемента приведена на рис. 3.27. При мысленной замене многоэмиттерного транзистора диодами получаем элемент диодно-транзисторной логики «И-НЕ». Из анализа схемы можно сделать вывод, что если на один из входов или на оба входа подать низкий уровень напряжения, то базы транзистора Т 2 будет равен нулю, и на коллекторе транзистора Т 2 будет высокий уровень напряжения. Если на оба входа подать высокий уровень , то через базу Т 2 транзистора будет протекать большой базовый и на коллекторе транзистора Т 2 будет низкий уровень , т. е. данный элемент реализует функцию И-НЕ:

u вых = u 1 · u 2 . Базовый элемент ТТЛ содержит многоэмиттерный транзистор, выполняющий логическую операцию И, и сложный инвертор (рис. 3.28).

Если на один или оба входа одновременно подан низкий уровень напряжения, то многоэмиттерный транзистор находится в состоянии насыщения и Т 2 закрыт, а следовательно, закрыт и транзистор Т 4 , т. е. на выходе будет высокий уровень . Если на обоих входах одновременно действует высокий уровень напряжения, то Т 2 открывается и входит в режим насыщения, что приводит к открытию и насыщению транзистора Т 4 и запиранию транзистора Т 3 , т. е. реализуется функция И-НЕ.

Для увеличения быстродействия элементов ТТЛ используются транзисторы с диодами Шоттки (транзисторы Шоттки).

Базовый логический элемент ТТЛШ (на примере серии К555)

В качестве базового элемента серии микросхем К555 использован элемент И-НЕ. На рис. 3.29, а изображена схема этого элемента, а условное графическое обозначение приведено на рис. 3.29, б .

Такой эквивалентен рассмотренной выше паре из обычного транзистора и диода Шоттки. ТранзисторVT 4 — обычный биполярный транзистор.

Если оба входных напряжения u вх1 и u вх2 имеют высокий уровень, то диодыVD 3 и VD 4 закрыты, транзисторы VT 1 ,VT 5 открыты и на выходе имеет место напряжение низкого уровня. Если хотя бы на одном входе имеется низкого уровня, то транзисторы VT 1 и VT 5 закрыты, а транзисторы VT 3 и VT 4 открыты, и на входе имеет место напряжение низкого уровня. Полезно отметить, что транзисторы VT 3 и VT 4 образуют так называемый составной (схему Дарлингтона).

Микросхемы ТТЛШ

Микросхемы ТТЛШ серии К555 характеризуются следующими параметрами:

● питания +5 В;

● выходное напряжение низкого уровня — не более 0,4 В;

● выходное высокого уровня — не менее 2,5 В;

● помехоустойчивость — не менее 0,3 В;

● среднее время задержки распространения сигнала — 20 нс;

● максимальная рабочая частота — 25 МГц.

Микросхемы ТТЛШ обычно совместимы по логическим уровням, помехоустойчивости и питания с микросхемами ТТЛ. Время задержки распространения сигнала элементов ТТЛШ в среднем в два раза меньше по сравнению с аналогичными элементами ТТЛ.

Особенности других логик

Основой базового логического элемента ЭСЛ является токовый ключ. Схема токового ключа (рис. 3.30) подобна схеме дифференциального усилителя.

Необходимо обратить внимание на то, что микросхемы ЭСЛ питаются отрицательным напряжением (к примеру, −4,5 В для серии К1500). На базу транзистора VT 2 подано отрицательное постоянное опорное напряжение U оп. Изменение входного u вх1 приводит к перераспределению постоянного тока i э0 , заданного сопротивлением R э между транзисторами, что имеет следствием изменение напряжений на их коллекторах. Транзисторы не входят в режим насыщения, и это является одной из причин высокого быстродействия элементов ЭСЛ.

Микросхемы серий 100, 500 имеют следующие параметры:

● питания −5,2 В;

● потребляемая мощность — 100 мВт;

● коэффициент разветвления по выходу — 15;

● задержка распространения сигнала — 2,9 нс.

В микросхемах n-МОП и p-МОП используются ключи соответственно на МОП-транзисторах с n-каналом и динамической нагрузкой (рассмотрены выше) и на МОП-транзисторах с p-каналом.

В качестве примера рассмотрим элемент логики n-МОП, реализующий функцию ИЛИ-НЕ (рис. 3.31).

Он состоит из нагрузочного транзистора Т 3 и двух управляющих транзисторов Т 1 и Т 2 . Если оба транзистора Т 1 и Т 2 закрыты, то на выходе устанавливается высокий уровень . Если одно или оба напряжения u 1 и u 2 имеют высокий уровень, то открывается один или оба транзистора Т 1 и Т 2 и на выходе устанавливается низкий уровень , т. е. реализуется функция u вых = u 1 + u 2.

Для исключения потребления мощности логическим элементом в статическом состоянии используются комплементарные МДП — логические элементы (КМДП или КМОП-логика). В микросхемах КМОП используются комплементарные ключи на МОП-транзисторах. Они отличаются высокой помехоустойчивостью. Логика КМОП является очень перспективной. Рассмотренный ранее комплементарный ключ фактически является элементом НЕ (инвертором).

КМОП — логический элемент

Рассмотрим КМОП — логический элемент, реализующий функцию ИЛИ-НЕ (рис. 3.32).

Если входные напряжения имеют низкие уровни (u 1 и u 2 меньше порогового напряжения n-МОП-транзистора U зи.порог. n), то транзисторы Т 1 и Т 2 закрыты, транзисторы Т 3 и Т 4 открыты и выходное напряжение имеет высокий уровень. Если одно или оба входных u 1 и u 2 имеют высокий уровень, превышающий U зи.порог. n , то открывается один или оба транзистора Т 1 и Т 2 , а между истоком и затвором одного или обоих транзисторов Т 3 и Т 4 устанавливается низкое напряжение, что приводит к запиранию одного или обоих транзисторов Т 3 и Т 4 , а следовательно, на выходе устанавливается низкое . Таким образом, этот элемент реализует функцию u вых = u 1 +u 2 и потребляет мощность от источника питания лишь в короткие промежутки времени, когда происходит его переключение.

Интегральная инжекционная логика (ИИЛ или И 2 Л) построена на использовании биполярных транзисторов и применении оригинальных схемотехнических и технологических решений. Для нее характерно очень экономичное использование площади кристалла полупроводника. Элементы И 2 Л могут быть реализованы только в интегральном исполнении и не имеют аналогов в дискретной схемотехнике. Структура такого элемента и его эквивалентная схема приведены на рис. 3.33, из которого видно, что транзистор T 1 (p-n-p) расположен горизонтально, а многоколлекторный Т 2 (n-p n) расположен вертикально. T 1 выполняет роль инжектора, обеспечивающего поступление дырок из эмиттера транзистора T 1 (при подаче на него положительного через ограничивающий резистор) в базу транзистора Т 2 . Если u 1 соответствует логическому «0», то инжекционный не протекает по базе многоколлекторного транзистора Т 2 и токи в цепях коллекторов транзистора Т 2 не протекают, т. е. на выходах транзистора Т 2 устанавливаются логические «1». При напряжении u 1 соответствующем логической «1», инжекционный протекает по базе транзистора Т 2 и на выходах транзистора Т 2 — логические нули.

Рассмотрим реализацию элемента ИЛИ-НЕ на основе элемента, представленного на рис. 3.34 (для упрощения другие коллекторы многоколлекторных транзисторов Т 3 и Т 4 на рисунке не показаны). Когда на один или оба входа подается логический сигнал «1», то u вых соответствует логическому нулю. Если на обоих входах логические сигналы «0», то напряжение u вых соответствует логической единице.

Логика на основе полупроводника из арсенида галлия GaAs характеризуется наиболее высоким быстродействием, что является следствием высокой подвижности электронов (в 3…6 раз больше по сравнению с кремнием). Микросхемы на основе GaAs могут работать на частотах порядка 10 ГГц и более.

Логические элементы КМОП

Эквивалентные схемы элементов, представленных выше, можно получить, используя только PMOS-транзисторы. Однако наибольший интерес представляет совместное применение PMOS и NMOS-транзисторов. Такая технология наиболее популярна сегодня и называется CMOS-технологией. Она обеспечивает максимальное быстродействие работы элементов при низком энергопотреблении по сравнению со всеми другими технологиями.

В NMOS-цепях логические функции реализовались комбинацией соединений NMOS-транзисторов, объединенных с токоограничивающим элементом.

Т.к. все элементы, построенные на NMOS-транзисторах реализуют отрицательные функции (НЕ, ИЛИ-НЕ, И-НЕ), то их можно условно представить так, как показано на блок-схеме рисунка 1.9.

Рисунок 1.9 - Структура NMOS-схемы

При этом все транзисторные цепи объединены в блок PDN (Pull-down Network) – блок отрицательной логики. Для реализации прямых логических функций необходимо соединение двух отрицательных элементов, что снижает быстродействие всего элемента в целом. Концепция CMOS-цепей основана на реализации прямых функций (И, ИЛИ) на PMOS-транзисторах таким образом, что блоки прямой логики (PUN – Pull-up Network) и блоки отрицательной логики (PDN - Pull-down Network) являются дополнениями друг друга. Тогда логическая схема, реализующая типичный логический элемент, будет иметь вид, представленный на рисунке 1.10.

Рисунок 1.10 - Структура CMOS-схемы

Для любой комбинации входных сигналов PDN устанавливает уровень логического нуля на выходе V f , или PUN устанавливает на этом выходе уровень логической единицы. PDN и PUN имеют равное количество транзисторов, которые размещены так, что эти два блока работают параллельно. Там, где PDN включает NMOS-транзисторы, соединенные последовательно, PUN строится на PMOS-транзисторах, соединенных параллельно, и наоборот.

Самый простой пример CMOS-схемы - инвертор, показан на рисунке 1.11.

Рисунок 1.11 - Реализация CMOS-инвертора

Когда сигнал V x =0V, транзистор T2 закрыт, а транзистор T1 открыт. Следовательно, V f =5V, и так как T2 закрыт, ток через транзисторы не течет. Когда V x =5V, то T2 открыт, а T1 закрыт. Таким образом, V f =0V, и тока в цепи по прежнему не будет, т.к. транзистор T1 закрыт. Это свойство справедливо для всех CMOS-цепей – логические элементы практически не потребляют ток в статическом режиме. Ток в таких цепях будет протекать только во время переключения элементов (вот почему, с ростом частоты работы устройств, построенных по этой технологии, возрастает и энергопотребление). Вследствие этого, CMOS-схемы стали наиболее популярной технологией при реализации цифровых логических устройств.

Рисунок 1.12 представляет принципиальную электрическую схему логического элемента И-НЕ CMOS. Реализация этого элемента подобна NMOS-схеме, представленной на рисунке 1.5 за исключением того, что токоограничивающий резистор был заменен блоком PUN, состоящим из двух PMOS-транзисторов, соединенных параллельно. Таблица истинности на рисунке показывает состояние каждого из этих четырех транзисторов для каждой логической комбинации вводов x 1 и x 2 . Легко проверить, что данная схема реализует логическую функцию И-НЕ. В статическом состоянии отсутствует путь для протекания тока от V DD к Gnd.

Рисунок 1.12 - CMOS-реализация логического элемента И-НЕ

Схема на рисунке 1.12 может быть получена исходя из логического выражения, которое определяет логическую функцию И-НЕ, . Это выражение определяет состояния, при которых f = 1; следовательно, оно определяет поведение блока PUN. Так как этот блок состоит из PMOS-транзисторов, которые открываются при подаче на их входы логического нуля, входная переменная x i открывает транзистор, если x i =0. По правилу де Моргана мы имеем:

Таким образом f = 1 , когда либо вход x 1 , либо вход x 2 имеют значение логического нуля, что означает что PUN должен иметь два PMOS-транзистора, соединенных параллельно. Блок PDN должен дополнять функцию f, которая имеет вид:

f = x 1 x 2

Функция f = 1 , когда оба входа x 1 и x 2 равны 1, поэтому блок PDN должен иметь два NMOS-транзистора, соединенных последовательно.

Схема для CMOS-реализации элемента ИЛИ-НЕ может быть получена из логического выражения.

CMOS, Complementary-symmetry/metal-oxide semiconductor ) - технология построения электронных схем. В технологии КМОП используются полевые транзисторы с изолированным затвором с каналами разной проводимости. Отличительной особенностью схем КМОП по сравнению с биполярными технологиями (ТТЛ , ЭСЛ и др.) является очень малое энергопотребление в статическом режиме (в большинстве случаев можно считать, что энергия потребляется только во время переключения состояний). Отличительной особенностью структуры КМОП по сравнению с другими МОП-структурами (N-МОП , P-МОП) является наличие как n-, так и p-канальных полевых транзисторов; как следствие, КМОП-схемы обладают более высоким быстродействием и меньшим энергопотреблением, однако при этом характеризуются более сложным технологическим процессом изготовления и меньшей плотностью упаковки.

Подавляющее большинство современных логических микросхем , в том числе, процессоров , используют схемотехнику КМОП.

История

Ранние КМОП-схемы были очень уязвимы к электростатическим разрядам . Сейчас эта проблема в основном решена, но при монтаже КМОП-микросхем рекомендуется принимать меры по снятию электрических зарядов.

Для изготовления затворов в КМОП-ячейках на ранних этапах применялся алюминий . Позже, в связи с появлением так называемой самосовмещённой технологии, которая предусматривала использование затвора не только как конструктивного элемента, но одновременно как маски при получении сток-истоковых областей, в качестве затвора стали применять поликристаллический кремний .

Технология

Схема 2И-НЕ

Для примера рассмотрим схему вентиля 2И-НЕ, построенного по технологии КМОП.

  • Если на оба входа A и B подан высокий уровень, то оба транзистора снизу на схеме открыты, а оба верхних закрыты, то есть выход соединён с землёй.
  • Если хотя бы на один из входов подать низкий уровень, соответствующий транзистор сверху будет открыт, а снизу закрыт. Таким образом, выход будет соединён с напряжением питания и отсоединён от земли.

В схеме нет никаких нагрузочных сопротивлений, поэтому в статическом состоянии через КМОП-схему протекают только токи утечки через закрытые транзисторы, и энергопотребление очень мало. При переключениях электрическая энергия тратится в основном на заряд емкостей затворов и проводников, так что потребляемая (и рассеиваемая) мощность пропорциональна частоте этих переключений (например, тактовой частоте процессора).

Серии логических КМОП-микросхем зарубежного производства

Серии логических КМОП-микросхем отечественного производства

  • На КМОП-транзисторах (CMOS):
    • 164, 176, 561 и 564 соответствуют серии 4000, но у 164 и 176 питание только 9В;
    • 1554 - серии 74AC;
    • 1561 - серии 4000B;
    • 1564 - серии 74HC;
    • 1594 - серии 74ACT;
    • 5564 - серии 74HCT;

Лекция. Изготовление процессоров

Микропроцессор - это интегральная схема, сформированная на маленьком кристалле кремния. Кремний применяется в микросхемах в силу того, что он обладает полупроводниковыми свойствами: его электрическая проводимость больше, чем у диэлектриков, но меньше, чем у металлов. Кремний можно сделать как изолятором, препятствующим движению электрических зарядов, так и проводником - тогда электрические заряды будут свободно проходить через него. Проводимостью полупроводника можно управлять путем введения примесей.

Микропроцессор содержит миллионы транзисторов , соединенных между собой тончайшими проводниками из алюминия или меди и используемых для обработки данных. Так формируются внутренние шины. В результате микропроцессор выполняет множество функций – от математических и логических операций до управления работой других микросхем и всего компьютера.

Один из главных параметров работы микпроцессора – частота работы кристалла, определяющая количество операций за единицу времени, частота работы системной шины, объем внутренней кэш-памяти SRAM. По частоте работы кристалла маркируют процессор. Частота работы кристалла определяется частотой переключений транзисторов из закрытого состояния в открытое. Возможность транзистора переключаться быстрее определяется технологией производства кремниевых пластин, из которых делаются чипы. Размерность технологического процесса определяет размеры транзистора (его толщину и длину затвора).

Как делают микросхемы

Как известно из школьного курса физики, в современной электронике основными компонентами интегральных микросхем являются полупроводники p-типа и n-типа (в зависимости от типа проводимости). Полупроводник - это вещество, по проводимости превосходящее диэлектрики, но уступающее металлам. Основой полупроводников обоих типов может служить кремний (Si), который в чистом виде (так называемый собственный полупроводник) плохо проводит электрический ток, однако добавление (внедрение) в кремний определенной примеси позволяет радикально изменить его проводящие свойства. Существует два типа примеси: донорная и акцепторная .



Донорная примесь приводит к образованию полупроводников n-типа c электронным типом проводимости, а акцепторная - к образованию полупроводников p-типа с дырочным типом проводимости. Контакты p- и n-полупроводников позволяют формировать транзисторы - основные структурные элементы современных микросхем. Такие транзисторы, называемые КМОП-транзисторами, могут находиться в двух основных состояниях: открытом, когда они проводят электрический ток, и запертом - при этом они электрический ток не проводят. Поскольку КМОП-транзисторы являются основными элементами современных микросхем, поговорим о них подробнее.

Говоря о процессорах Intel, часто используют такие специфические понятия, как 0,13-микронный технологический процесс, а в последнее время - 90-нанометровый технологический процесс. К примеру, принято говорить, что новый процессор Intel Pentium 4 с ядром Northwood выполнен по 0,13-микронной технологии, а будущее поколение процессоров будет основано на 90-нанометровом технологическом процессе. В чем же разница между этими технологическими процессами и как она отражается на возможностях самих процессоров?

Как устроен КМОП-транзистор

Простейший КМОП-транзистор n-типа имеет три электрода: исток, затвор и сток . Сам транзистор выполнен в полупроводнике p-типа с дырочной проводимостью, а в областях стока и истока формируются полупроводники n-типов с электронной проводимостью. Естественно, что за счет диффузии дырок из p-области в n-область и обратной диффузии электронов из n-области в p-область на границах переходов p- и n-областей формируются обедненные слои (слои, в которых отсутствуют основные носители зарядов). В обычном состоянии, то есть когда к затвору не прикладывается напряжение, транзистор находится в «запертом» состоянии, то есть не способен проводить ток от истока к стоку. Ситуация не меняется, даже если приложить напряжение между стоком и истоком (при этом мы не принимаем во внимание токи утечки, вызванные движением под воздействием формируемых электрических полей неосновных носителей заряда, то есть дырок для n-области и электронов для p-области).

Однако если к затвору приложить положительный потенциал (рис. 1), то ситуация в корне изменится.

Рис. 1. Принцип работы КМОП-транзистора

Под воздействием электрического поля затвора дырки выталкиваются в глубь p-полупроводника, а электроны, наоборот, втягиваются в область под затвором, образуя обогащенный электронами канал между истоком и стоком. Если приложить к затвору положительное напряжение, эти электроны начинают двигаться от истока к стоку. При этом транзистор проводит ток - говорят, что транзистор «открывается». Если напряжение с затвора снимается, электроны перестают втягиваться в область между истоком и стоком, проводящий канал разрушается и транзистор перестает пропускать ток, то есть «запирается». Таким образом, меняя напряжение на затворе, можно открывать или запирать транзистор, аналогично тому, как можно включать или выключать обычный тумблер, управляя прохождением тока по цепи. Именно поэтому транзисторы иногда называют электронными переключателями. Однако, в отличие от обычных механических переключателей, КМОП-транзисторы практически безынерционны и способны переходить из открытого в запертое состояние триллионы раз в секунду! Именно этой характеристикой, то есть способностью мгновенного переключения, и определяется в конечном счете быстродействие процессора, который состоит из десятков миллионов таких простейших транзисторов.

Итак, современная интегральная микросхема состоит из десятков миллионов простейших КМОП-транзисторов.

Вот изображение поперечного сечения процессора:

Сверху находится защитная металлическая крышка, которая помимо защитной функции, так же выполняет роль теплораспределителя – именно ее мы обильно мажем термопастой, когда устанавливаем кулер. Под теплораспределителем находится тот самый кусочек кремния, который выполняет все пользовательские задачи. Еще ниже – специальная подложка, которая нужна для разводки контактов (и увеличения площади «ножек»), чтобы процессор можно было установить в сокет материнской платы.

Сам чип состоит из кремния, на котором находится до 9 слоев металлизации (из меди) – именно столько уровней нужно, чтобы по определенному закону можно было соединить транзисторы, находящиеся на поверхности кремния (так как сделать все это на одном уровне просто невозможно). По сути, эти слои выполняют роль соединительных проводов, только в гораздо меньшем масштабе; чтобы «провода» не закорачивали друг друга, их разделяют слоем оксида (с низкой диэлектрической проницаемостью).

Остановимся более подробно на процессе изготовления микросхем, первый этап которого - получение кремниевых подложек.

Шаг 1. Выращивание болванок

Шаг 2. Нанесение защитной пленки диэлектрика (SiO2)

Шаг 3. Нанесение фоторезистива

Шаг 4. Литография

Шаг 5. Травление

Шаг 6. Диффузия (ионная имплантация)

Шаг 7. Напыление и осаждение

Шаг 8. Заключительный этап

Перспективные технологии